HierarchyFilesModulesSignalsTasksFunctionsHelp
module bingray_tbIndex ();

reg [3:0] ent;
wire [3:0] sal;

bingray UUT (.e(ent),
	.s(sal));
initial begin: TB
	integer i,j;
	$dumpfile("test.vcd");
$dumpvars(0,bingray_tb);
	for (i=0;i<=7;i=i+1) begin
          ent=i;
           #10;
	   $write ("Tiempo: %d  entra %d y sale %d \n",$time,ent,sal);
           end
  end
endmodule
		
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Tue Oct 1 11:42:01 2013
From: ../bingray_tb.v

Verilog converted to html by v2html 7.30.1.3 (written by Costas Calamvokis).Help